X
Intel's Ponte Vecchio processor, due to ship in 2022, is the brains of the Energy Department's Aurora supercomputer and a prime example of the chipmaker's plan to recover its status as industry leader.
Stephen Shankland/CNET

Intel's chip recovery plan could restore US manufacturing prowess

The chipmaker's come-from-behind strategy is risky and will take years, even with government subsidies.

I am sweltering inside an Intel chip factory outside Phoenix, bundled head-to-toe in a Gore-Tex suit to keep me from contaminating billions of dollars' worth of the world's most delicate fabrication equipment. As plastic pods of silicon wafers whiz from station to station along roof-mounted transit lines, there's nothing about the room that feels outdated. Still, I think to myself: I'm looking at either the past or the future of American manufacturing.

That's because Intel is at a fork in the road. One direction leads to a comfortable stasis as a processor designer, perhaps with a side business making chips with yesterday's technology.

Robert Rodriguez/CNET

After squandering its lead because of a half decade of problems modernizing its manufacturing, that's where Intel has been headed. The Silicon Valley icon ceded processor market share to longtime rival AMD, lost the Mac business to Apple's in-house M-series chips, and fell behind Taiwan Semiconductor Manufacturing Co. (TSMC) and Samsung in making chips.

Pat Gelsinger, Intel's new chief executive, wants to take the other course: restore the company's status as the leader of the semiconductor industry, an enormously profitable innovator fueling each year's advances in phones, PCs, smartwatches, internet services and everything else in our digital lives. Getting there requires a series of major manufacturing upgrades and delivery of a quartet of new chips those upgrades will enable: Alder Lake for gaming PCs this year and laptops next year, Ponte Vecchio and Sapphire Rapids for servers in 2022, and Meteor Lake for PCs in 2023. Intel will have to get everything right after years of getting much of it wrong.

With "a decade of bad decisions, this doesn't get fixed overnight," Gelsinger says in an interview. "But the bottom is behind us and the slope is starting to feel increasingly strong."

Intel's choice, to coast or to rebuild, is emblematic of one that the entire United States faces. Most of the country's 20th century manufacturing leadership has migrated to Asia, particularly to China.

Intel is among the most prominent examples of a company trying to keep US manufacturing vibrant. As I walk through its chip fabrication plants -- "fabs" -- Gelsinger's plans are underway. In the CH4 fab, employees wheel around carts packed with Ponte Vecchio processors, assembled from dozens of smaller "chiplets" and likely destined for the Energy Department's 2022 supercomputer, Aurora. Although Meteor Lake won't arrive for more than a year, Intel is making dummy versions to debug new chip packaging technology. 

More fabs are on the way, too. In an enormous empty patch of dirt at its existing Arizona site, Intel has just begun building fabs 52 and 62 at a total cost of $20 billion, set to make Intel's most advanced chips, starting in 2024. Later this year, it hopes to announce the US location for its third major manufacturing complex, a 1,000-acre site costing about $100 billion. The spending commitment makes this year's $3.5 billion upgrade to its New Mexico fab look cheap.

The goal is to restore the US share of chip manufacturing, which has slid from 37% in 1990 to 12% today. "Over the decade in front of us, we should be striving to bring the US to 30% of worldwide semiconductor manufacturing," Gelsinger says.

Gelsinger has the ear of politicians, including folks in the Biden administration and the US Senate, which in June approved a bill to lavish $52 billion on US semiconductor companies that want to keep essential manufacturing in the US. On Wednesday, House Speaker Nancy Pelosi announced an effort to push the legislation forward through both houses of Congress. If passed into law, it could knock about $3 billion off the $10 billion price tag for each new fab, a subsidy level Gelsinger says would match those of Taiwan and Korea. The political activity comes during a global chip shortage that's kept cars, cameras, videogame consoles and more out of consumers' hands.

But returning Intel to its glory days -- and anchoring a resurgent US electronics business in the process -- is much easier said than done.

Making chips profitably means running fabs at maximum capacity to pay off the gargantuan investments required to stay at the leading edge. A company that can't keep pace gets squeezed out, like IBM in 2014 or Global Foundries in 2018. To catch up after its delays, Intel now plans to upgrade its manufacturing five times in the next four years, a breakneck pace by industry standards.

"This new roadmap that they announced is really aggressive," says Linley Group analyst Linley Gwennap. "I don't have any idea how they are going to accomplish all of that."

The return of Pat Gelsinger

Gelsinger grew up on a Pennsylvania farm and joined Intel as a teenager in 1979 as a quality control technician, earning his bachelor's degree in electrical engineering while at the company. He spent the next three decades at Intel, rising to chip engineer, then leader of the 486 design, then chief technology officer in 2001.

A look inside Intel's mammoth Arizona chipmaking fab

See all photos

When it became apparent he wouldn't be named CEO, Gelsinger quit Intel for storage system maker EMC in 2009, and in 2012 took over its software spinoff, VMware. His dream to lead Intel was realized this February when the board appointed him to replace Bob Swan, Intel's former chief financial officer, who'd had the job for two years.

Intel's board endorsed Gelsigner's plan to steer the company more in the style of the company's first three technically expert CEOs: co-founder Robert Noyce, Gordon Moore of Moore's Law fame, and Andy Grove, author of the seminal Silicon Valley business book Only the Paranoid Survive. (Swan didn't have an engineering background.) Gelsinger aspires to rekindle Grove's management style, with unflinching assessments and data-backed judgments.

"It was an inspired choice," says Andrew Feldman, CEO of Cerebras, a startup that designs artificial intelligence chips for its own AI computer systems. As with Microsoft naming Satya Nadella leader, it was time for a "thoughtful technologist."

Now Gelsinger has a tech-first recovery plan. He's pledged to accelerate manufacturing upgrades to match the technology of TSMC and Samsung by 2024 and surpass them in 2025. He's opening Intel's fabs to other companies that need chips built through its new Intel Foundry Services (IFS). And he's relying on other foundries, including TSMC, for about a quarter of Intel's near-term chipmaking needs to keep its chips more competitive during the upgrades.

This three-pronged strategy is called IDM (integrated design and manufacturing) 2.0. That's a new take on Intel's philosophy of both designing and making chips. It's more ambitious than the future some had expected, in which Intel would sell its factories and join the ranks of "fabless" chip designers like Nvidia, AMD and Qualcomm that rely on others for manufacturing.

The cost of Intel's recovery

Gelsinger secured full support of Intel's board of directors before setting off on the plan.

"As I was interviewing for the job, I wrote a strategy paper for the board," Gelsigner says. "I demanded unity so every one of them had to say they were hiring me and agreeing with the strategy."

It's a good thing he did. In October, investor enthusiasm for Gelsinger's return soured when Intel revealed IDM 2.0's costs in its third-quarter financial results. Intel expects to generate $2 billion in cash in 2022, down from $20 billion in 2020, as capital expenditures on new fabs soars to $25 billion to $28 billion and profit margins plunge. Intel shares dropped 12% after the October announcement.

Stacy Rasgon, an analyst at Bernstein Research, lowered his target for Intel shares from $43 to $40, expressing concern about "ballooning" expenses and worrying that Intel is counting on PC market growth that won't actually happen. Intel's expectation that revenue will grow 10% to 12% annually for the next four to five years "seems outlandish," he said in a research note.

Shareholders may not like Gelsinger's spending-heavy strategy, but one community really does: Intel's engineers.

"It's really something when your CEO gets excited about new technology. The first question he asks you is 'How soon can I get it?' versus 'I don't have money to invest here,'" says Babak Sabi, who leads Intel's assembly and test development work. "If you look at the technical community, we're jazzed up. We love it. Pat has been really the best thing that has happened."

Gelsigner has doubled the number of silicon wafers that Intel's Technology Development (TD) team gets to send through Intel's fabs to test and debug new technology. That work is costly because the development wafers take the place of revenue-generating wafers for chip production. But Gelsinger judges it necessary to find and fix problems with next-gen manufacturing. With over 500 processing steps required, it takes three months or more to make a single chip, so each wafer is precious.

Gelsigner told the board that Intel is done with stock buybacks, a financial move in which a company uses its cash to buy stock and thereby increase its price. "We're investing in factories," he told me. "That's going to be the use of our cash."

Site of Intel Fab 52 and Fab 62

This empty dirt lot in Chandler, Arizona, is where Intel has begun building Fab 52 and Fab 62, a pair of chip factories costing $10 billion each that should go online in 2024. This photo is from the roof of Fab 42.

Stephen Shankland/CNET

Necessary steps to fix Intel's manufacturing

Historically, progress in processor manufacturing has been charted by Moore's Law. For decades, miniaturization meant chipmakers could, every two years, double a chip's tally of tiny on-off switches, called transistors.

Chipmaking processes traditionally have been measured in circuitry feature sizes that stretch just nanometers, or billionths of a meter. A human hair is about 100,000 nanometers wide. Intel's current problems stemmed from its difficulties moving from its 14-nanometer process to its 10nm process.

But the nanometer label has become merely ornamental as miniaturization progress has slowed. Chipmakers now often focus on improving not just the area each transistor occupies but also its performance and power consumption, together called PPA.

Under Gelsinger, Intel renamed its manufacturing process nodes, part of an effort to align its steps with those of Samsung and TSMC. What had been called 10nm Enhanced Superfin became Intel 7. That's the process used to build the Alder Lake PC processors, formally called 12th Gen Core, that just started shipping.

That's just the first milestone Intel has committed to. Intel's chip manufacturing road map then promises annual improvements:

• Intel 4, with a 20% performance boost over Intel 7. Intel will use it for the Meteor Lake PC chip starting in 2022 and shipping in 2023. Intel 4 will be the first Intel node to adopt a manufacturing technique that TSMC and Samsung already use, extreme ultraviolet (EUV) light, for smaller transistors.

• Intel 3 should arrive in late 2023, a refinement offering an 18% speed boost over Intel 4.

• Intel 20A in 2024 is a radical overhaul. Its core developments are "RibbonFET," Intel's name for the gate-all-around transistor structure upgrade, and "PowerVia," its plan for delivering electrical power through the back of the chip.

• Intel 18A in 2025 is a faster refinement, though Intel hasn't detailed the expected performance improvement.

The A signals Intel's "angstrom era" labels; 1 angstrom is about the diameter of a hydrogen atom, and 10 angstroms equals 1 nanometer.

"We cannot recall the last time Intel put so many stakes in the ground," said BMO Capital Markets analyst Ambrish Srivastava in a July research report after Intel announced its schedule.

The chip manufacturing race

Pushing Intel onto the chip manufacturing fast track will be hard in part because many more processing steps are required. The resulting cost premium could discourage customers from paying for the latest-gen manufacturing, slowing progress and making Intel's manufacturing investments riskier.

"Moore's Law is not going to end when we can't build smaller transistors," Gwennap says. "It's going to end when somebody says I don't want to pay for smaller transistors."

Given Intel's stumble with 10nm, Gelsinger acknowledged it's fair to want proof the company can deliver the goods. But he's shown nothing but confidence in Intel's recovery plan, which he tracks constantly through Grove-era "tough, direct, data driven conversations."

"We're measuring these things essentially every week," Gelsinger says.

Intel: Moore's Law is alive

"Moore's Law is alive and well," Intel CEO Pat Gelsinger says. But accelerating its own chipmaking progress in the next four years will mostly just catch back up to rivals.

Intel; screenshot by Stephen Shankland/CNET

It's a sound plan, as long as Intel can execute it on schedule, says Real World Technologies analyst David Kanter. It turns out Intel's chip engineers made progress despite the outwardly obvious problems delivering new chips. "It's like being in a canoe on a lake. You're not seeing any ripples, but it turns out there's a lot of life down there," Kanter says.

TSMC and Samsung are moving ahead, too, though they've suffered smaller schedule problems.

"In our 2-nanometer technology, the density and performance will be the most competitive in 2025," TSMC CEO CC Wei promised in October. That's likely when TSMC will shift to gate-all-around (GAA) transistors, which offer miniaturization and performance benefits. Samsung plans to introduce GAA transistors in 2022 with mass manufacturing in 2023.

Intel likely has a lead with PowerVia, though, which adds more processing steps but separates transistors' data links from power links for better performance and smaller size.

Meet Alder Lake, Sapphire Rapids, Ponte Vecchio and Meteor Lake

The manufacturing processes are important, but so are the products Intel will build with them.

For PCs, Intel has begun selling its first Alder Lake chips, the first mainstream Intel processors that pair high-performance computing cores with more-efficient cores to boost battery life for lower priority tasks. With Alder Lake, Intel is building both its laptop and desktop PC chips with the same process, Intel 7.

Alder Lake is a more capable competitor to Apple's M1, M1 Pro and M1 Max chips that now power most Mac computers. Apple's Mac chips, like the A-series iPhone processors on which they're based and all other premium smartphone chips, also employ performance and efficiency cores.

In 2022 come two important processors for data centers, the Sapphire Rapids member of the Xeon server chip family and Ponte Vecchio, a high-performance computing engine officially called Xe HPC that's the brains of the Energy Department's Aurora supercomputer at Argonne National Laboratory. Both Sapphire Rapids and Ponte Vecchio are arriving late but promise major speed boosts -- with Aurora set to double its original performance of 1 exaflop, or a quintillion calculations per second.

Meteor Lake test chips

Individual chiplets are visible in this closeup of Meteor Lake test chips that pave the way to the PC processor's release in 2023. Intel's Foveros technology bonds the chiplets into 3D stacks.

Stephen Shankland/CNET

Meteor Lake, due in 2023, will be built with the Intel 4 process and should span a very wide range of uses, from ultra low-power laptops to beefy gaming machines.

It's a wrap: Intel's packaging technology

Intel has lagged TSMC and Samsung overall, but it's ahead with an increasingly important technology: chip packaging that lets Intel make a single processor out of multiple chip elements, variously called tiles, chiplets or dies.

Intel's two approaches here are called EMIB, short for embedded multidie interconnect bridge, which links two chiplets side by side, and Foveros, which lets Intel stack chiplets on top of each other. Intel hopes to keep its lead with upgrades called Foveros Omni and Foveros Direct.

Packaging is critical to next-gen products. Ponte Vecchio uses both EMIB and second-generation Foveros to connect an astounding 47 active silicon elements into one giant processor with more than 100 billion transistors. For comparison, Apple's M1 Max, one of the biggest single-die chips around, uses 57 billion transistors.

Sapphire Rapids uses EMIB to connect four smaller chip tiles into one really big processor, and that product will ship by the millions to data centers. Meteor Lake, using Foveros, will ship in even higher numbers to the PC market.

Packaging precision

But advanced packaging, also called heterogeneous integration, brings with it new cost and complexity.

More expensive equipment is needed to meet Intel's need to place chips with a precision of about 100 microns. And advanced packaging means chip manufacturing takes even longer.

"Now it's taking weeks where it used to be measured in days," Sabi says. Intel is expanding its packaging locations, now centered at its CH4 fab in Arizona, to New Mexico and Oregon fabs so chips don't have to catch a ride on Intel's twice-daily shuttle flights.

Intel's rivals are working hard on packaging improvements even if they aren't operating at Intel's scale. AMD, which spun off its chip manufacturing business as GlobalFoundries, will employ EMIB-like technology in its upcoming MI200 GPUs and Foveros-like 3D V-Cache in its 2022 Epyc server chips.

Apple engineers could embrace advanced packaging with the M1 family's successors, too. Apple's second-generation Mac chips will marry two chip dies in 2022, and a third generation in 2023 could link four, The Information reported.

Opening doors with Intel Foundry Service

One of Gelsinger's biggest challenges is convincing others to pay Intel to build their chips. Intel has tried and failed to launch predecessors to what's now called Intel Foundry Services.

"It's a very big cultural shift," says Cerebras' Feldman. "Those companies who have tried to use Intel as a merchant fab have really struggled with that. They don't behave like they're a merchant fab. They behave like you're borrowing their tools."

Gelsinger insists it really will be different this time, with changes to accommodate outside chipmaking tools, a separate business unit reporting directly to him, licensing deals to let Intel build chips with rival Arm and RISC-V designs, and no favoring Intel wafers.

"We're not selling any of last year's technology," Gelsinger says. "We're putting customers like Qualcomm on the leading edge."

Although Intel won a foundry endorsement from phone chipmaker Qualcomm, CEO Cristiano Amon said in July "we don't yet have a specific product plan." Amazon will use Intel's chip packaging technology.

It isn't easy to lure new customers who must revamp their designs for a different foundry, says Ali Hajimiri, chairman and co-founder of GuRu Wireless, a maker of long-distance wireless charging products that today relies on TSMC. Intel will have to prove itself with pricing details and long-term supply commitments, but Hajimiri doesn't reject IFS out of hand. "We would love to work with foundries that provide us reliable service."

Skeptics shouldn't write off Intel too soon. "It took Samsung 10 years to build its foundry business," says Boston Consulting Group analyst Matt Langione.

Gelsinger: Moore's Law is back

With Intel's manufacturing acceleration, packaging progress and foundry services, Gelsinger is bullish enough to expect Intel will outpace Moore's Law. That means it should more than double the transistor count on a processor every two years.

"I believe that you're going to see from 2025 to 2035 a very healthy period for Moore's Law-like behavior," he says.

That'll mean those two new Arizona fabs will be humming factories instead of the empty dirt lots I saw. And filled with cutting-edge manufacturing, not the technology TSMC and Samsung have had for years.

If he succeeds, that could help anchor critical electronics manufacturing in the US. "What we're saying is let's build up our capabilities," Gelsinger says, "so that we have more natural resilience, so that we're not as susceptible to natural disasters and geopolitical uncertainty."